---------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- Copyright (C) 2001, Xilinx, Inc. All Rights Reserved. -- ---------------------------------------------------------------------- -- You must compile the wrapper file ram_10x4.vhd when simulating -- the core, ram_10x4. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "Coregen Users Guide". -- The synopsys directives "translate_off/translate_on" specified -- below are supported by XST, FPGA Express, Exemplar and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). -- synopsys translate_off LIBRARY ieee; USE ieee.std_logic_1164.ALL; Library XilinxCoreLib; ENTITY ram_10x4 IS port ( addr: IN std_logic_VECTOR(9 downto 0); clk: IN std_logic; din: IN std_logic_VECTOR(2 downto 0); dout: OUT std_logic_VECTOR(2 downto 0); en: IN std_logic; we: IN std_logic); END ram_10x4; ARCHITECTURE ram_10x4_a OF ram_10x4 IS component wrapped_ram_10x4 port ( addr: IN std_logic_VECTOR(9 downto 0); clk: IN std_logic; din: IN std_logic_VECTOR(2 downto 0); dout: OUT std_logic_VECTOR(2 downto 0); en: IN std_logic; we: IN std_logic); end component; -- Configuration specification for all : wrapped_ram_10x4 use entity XilinxCoreLib.blkmemsp_v4_0(behavioral) generic map( c_reg_inputs => 0, c_addr_width => 10, c_has_sinit => 0, c_ysinit_is_high => 1, c_has_rdy => 0, c_width => 3, c_has_en => 1, c_ymake_bmm => 0, c_yen_is_high => 1, c_yprimitive_type => "1kx4", c_yhierarchy => "hierarchy1", c_mem_init_file => "mif_file_16_1", c_ywe_is_high => 1, c_yuse_single_primitive => 1, c_depth => 1024, c_has_nd => 0, c_has_default_data => 1, c_default_data => "0", c_ytop_addr => "1024", c_limit_data_pitch => 8, c_pipe_stages => 0, c_ybottom_addr => "0", c_has_rfd => 0, c_yclk_is_rising => 1, c_has_we => 1, c_sinit_value => "0", c_has_limit_data_pitch => 0, c_enable_rlocs => 0, c_has_din => 1, c_write_mode => 0); BEGIN U0 : wrapped_ram_10x4 port map ( addr => addr, clk => clk, din => din, dout => dout, en => en, we => we); END ram_10x4_a; -- synopsys translate_on